Free Academic Seminars And Projects Reports

Full Version: MICROCONTROLLER BASED AUTOMATIC RAILWAY GATE CONTROL full report
You're currently viewing a stripped down version of our content. View the full version with proper formatting.
Pages: 1 2 3 4
MICROCONTROLLER BASED AUTOMATIC RAILWAY GATE CONTROL

Submitted by,
P.NAVEEN S.SUDHAKAR
ARULMIGU KALASALINGAM COLLEGE OF ENGINEERING KRISHNANKOVIL
ABSTRACT:
The objective of this paper is to provide an automatic railway gate at a levelcrossing replacing the gates operated by the gatekeeper. It deals with two things. Firstly, it deals with the reduction of time for which the gate is being kept closed. And secondly, to provide safety to the road users by reducing the accidents.
By the presently existing system once the train leaves the station, the stationmaster informs the gatekeeper about the arrival of the train through the telephone. Once the gatekeeper receives the information,he closes the gate depending on the timing at which the train arrives. Hence, if the train is late due to certain reasons,then gate remain closed for a long time causing traffic near the gates.
By employing the automatic railway gate control at the level crossing the arrival of the train is detected by the sensor placed near to the gate. Hence, the time for which it is closed is less compared to the manually operated gates and also reduces the human labour. This type of gates can be employed in an unmanned level crossing where the chances of accidents are higher and reliable operation is required. Since, the operation is automatic, error due to manual operation is prevented .
Automatic railway gate control is highly economical microcontroller based arrangement, designed for use in almost all the unmanned level crossings in the country.
INTRODUCTION:
In this paper we are concerned of providing an automatic railway gate control at unmanned level crossings replacing the gates operated by gate keepers and also the semiautomatically operated gates. It deals with two things. Firstly, it deals with the reduction of time for which the gate is being kept closed. And secondly, to provide safety to the road users by reducing the accidents that usually occur due to carelessness of road users and at times errors made by the gatekeepers.
By employing the automatic railway gate control at the level crossing the arrival of train is detected by the sensor placed on either side of the gate at about 5km from the level crossing. Once the arrival is sensed , the sensed signal is sent to the microcontroller and it checks for possible presence of vehicle between the gates, again using sensors. Subsequently, buzzer indication and light signals on either side are provided to the road users indicating the closure of gates. Once, no vehicle is sensed in between the gate the motor is activated and the gates are closed. But, for the worst case if any obstacle is sensed it is indicated to the train driver by signals(RED) placed at about 2km and 180m,so as to bring it to halt well before the level crossing. When no obstacle is
sensed GREEN light is indicated, and the train is to free to move.
The departure of the train is detected by sensors placed at about 1km from the gate. The signal about the departure is sent to the microcontroller, which in turn operates the motor and opens the gate. Thus, the time for which the gate is closed is less compared to the manually operated gates since the gate is closed depending upon the telephone call from the previous station. Also reliability is high as it is not subjected to manual errors.
SCHEMATIC UTLAY F AUTOMATIC
RAILWAY GATE CONTROL
SG1 SG2
H 8 B d
SG4 SG3
ra tn ack
\1 1 4 B (J)
road
MICRO CONTROLLER 89C51 R 3 [R 2
R1 & R2 Sensors on the track, placed at about 5 km from the gate
to detect the train arrival on either directions.
R3 & R4 Sensors on the track, placed at about 1 km from the gate
to detect the train departure on either directions.
SG1,SG2,SG3 & SG4 Signals placed by the side of the track to indicate the
train driver about the closing of the gate.
B Buzzer, an audio signal to warn the road user about the
approach of train.
M Motor for gate operation.
L
Light signal to warn the road user.
The detailed description of the working of the above model can be explained under various heads.
i) Initial Signal Display:
Signals SG1,SG2,SG3 and SG4 are placed near the gate each at a specified distance. SG1 and SG4 are placed at 2Km on either side of the gate whereas SG2 and SG3 are placed at 180m from the gate. The train may be approaching the gate in either direction. So all four signals are made RED initially to indicate that gate is open and vehicles are passing through the gate.
The road user signals are made GREEN so that they can freely move through the gate buzzer is made 'OFF' since there is no approach of train and road users need not be warned.
ii) Train Arrival Detection:
IR
transmitter
IR
receiver
Comparator
Transistor switch
Detection of a train approaching the gate can be sensed by means of sensors R1,R2,R3 and R4 placed on either side of the gate. In a particular direction of approach,R1 is used to sense the arrival while R3 senses the departure of train. In the same way,R4 senses the approach and R2 the departure respectively in the other direction of train arrival.
Based on the vibration of the track as the train approaches the sensor works. The sensor comprises of an IR transmitter, IR receiver, a comparator and a transistor switch. IR transmitter gives IR rays whose wavelength depends upon the vibration of track that corresponds to the input frequency . If frequency increases its wavelength increases and thus reduces the resistance of the IR receiver. It reduces voltage drop across the receiver. Its output voltage is the difference between this voltage drop and input voltage to the sensor.
This is fed to the comparator whose reference voltage is based on the threshold frequency which is minimum frequency caused by a slow train. Thus, the comparator produces -12V saturation when it senses a train and +12V if not. Correspondingly, a transistor switch produces +5V and 0V respectively. This is transmitted employing FM to the microcontroller.
ii) Warning For Road Users:
At the moment the train arrival is sensed on either side of the gate, road users are warned about the train approach by RED signals placed to caution the road users passing through the gate. RED signal appears for the road user once the train cuts the relay sensor placed 5Km before the gate. A buzzer is made ON as a precautionary measure for the road user and that nobody should enter the gate at that moment.
iv) Sensing For Vehicles:
Laser light is used as a source and LDR as a tool for sensing purpose. When light strokes on LDR its resistance decreases and when light does not strike LDR its resistance remains at normal value. This change of resistance of LDR is used for sensing by the micro controller 89C51 by the use of compensation.
If there is no vehicle in between or beneath the gates, then the laser light from the source falls on the LDR since there is no obstacle. Since there is no vehicle or obstacle, signal is made GREEN for the train to pass through the gate. The same is applied for in the other direction and SG3 and SG4 are made GREEN and gates are closed.
Due to some unavoidable circumstances, if there is a sudden breakdown of a vehicle between the gate, then the light from laser source does not fall on LDR. It indicates the presence of vehicle and the signal for train should be made RED in order to slow down the train to avoid collision. Then the obstacle should be warned to clear the path.
v) Gate Closing Operation:
Once the microcontroller senses that there is no vehicle inside, then it automatically produces the signal to operate the motor through relay circuit and hence close the gate for the passage of train.
When any presence of obstacle is sensed, 89C51 gives signal for obstacle to clear the path and once the path is cleaned, motor is operated to close the gate. Actually rotary motion occurs in a motor. This rotary motion is converted to linear motion of the gate using a gear.
vi) Signal For Train:
When the path is clear inside the gate, GREEN signal is produced for the train when there is any obstacle, signal is made RED for the train in order to slow down its speed before 5 Km from the gate. Another signal placed at 180 m before the gate, when it is still RED when train approaches if then provisions if then provisions should be stop the train.
vi)Train Departure Detection:
Detection of train departure is also done using relay technique as explained under the head of train arrival detection. Train departure sensing is done by sensors R3 and R2 respectively considering the directions of train approach.
vii) Gate Opening:
When the train departure is sensed by the sensors, signal is given to the Microcontroller which operates the motor in reverse direction and the gates are opened. Once the gate is opened signal for road users are made GREEN so that the vehicles can pass through the gate.
ALGORITHM:
STEP 1: Start.
STEP 2: Set the variables.
STEP 3: Make initial settings of the signals for the train and road users.
STEP 4: Check for the arrival of the train in either direction by the sensors. If the train is sensed go to STEP 5. Otherwise repeat STEP 4.
STEP 5: Make the warning signal for the road users and set the signal for the train.
STEP 6: Check for the presence of the obstacle using sensors. If there is no obstacle go to STEP 7. Otherwise repeat STEP 6.
STEP 7: Close the gate and stop the buzzer warning.
STEP 8: Change the signal for the train.
STEP 9: Check for the train departure by the sensors. If the train sensed go
to next STEP. Otherwise repeat STEP 9.
STEP 10: Open the gate. STEP 11: Go to STEP 3.
STEP 12: Stop.
FLOW CHART:
Make the initial settings for train & road users
If arrival of
train in either of directions
Set the signal for train
SOURCE CODE :
ASM PROGRAMME FOR AUTOMATIC RAILWAY GATE CONTROL:
clr gateoff
gon: mov count1,#ffh
loop15: mov count2,#ffh
loop2: djnz count2,loop2
djnz count1,loop15
call dly
mn: setb sig1r
setb sig2r
setb sig4r
setb sig3r
setb rsg5g
setb rsg6g
clr buzzer
clr laser
main: jnb rlysens1,loop1
jb rlysens4,main
clr sig4g
setb buzzer
setb laser
setb rsg5r
clr rsg5g
setb rsg6r
clr rsg6g
jnb ldr1,loop20
jnb ldr2,loop20
jnb ldr3,loop20
jnb ldr3,loop20
jnb ldr4,loop20
loop2a: call gatecls
clr buzzer
clr laser
clr sig3r
clr sig4r
setb sig4g
setb sib3g
loop5: jb rlysens2,loop5
loop6: jnb rlysens2,loop6
clr sig3g
setb sig3r
clr sig4g
setb sig4r
clr rsg5r
clr rsg6r
setb rsg5g
setb rsg6g
call delay
call gateopen
call delay
clr rsg5r
clr rsg6r
setb rsg5g
setb rsg6g
call delay
call delay
jmp mn
loop20: setb buzzer
setb laser
setb sig3r
setb sig2r loop21: jnb ldr1,loop21
jnb ldr2,loop21
jnb ldr3,loop21
jnb ldr4,loop21
clr buzzer
clr laser
jmp loop2a
loop1: setb sig2r
clr sig1g
setb buzzer
setb laser
setb rsg5r
clr rsg5g
setb rsg6r
clr rsg6g
jnb ldr1,loop23
jnb ldr2,loop23
jnb ldr3,loop23
jnb ldr4,loop23
loop24: call gatecls
clr buzzer
clr laser
setb sig1g
clr sig1r
setb sig2g
clr sig2r
call delay
setb rsg5r
setb rsg6r
loop9: jb rlysens3,loop9
loop10: jnb rlysens3.loop10
call delay
call gateopen
clr buzzer
clr laser
clr sig1g
clr sig2g
setb sig1r
setb sig2r
clr rsg5r
clr rsg6r
setb rsg5g setb rsg6g call delay call delay jmp mn
loop23: setb buzzer
setb sig3r setb sig2r
loop26: jnb ldr1,loop26
jnb ldr2,loop26 jnb ldr3,loop26 jnb ldr4,loop26
clr buzzer jmp loop24
gateopen: setb gateon
clr gateoff call delay clr gateon ret
gatecls: setb gateoff
clr gateon call delay clr gateoff ret
delay:
ji:
bala:
riju:
mov 50h,#04h mov 51h,#f0h mov 52h,#ffh
djnz 52h,riju
djnz 51h,bala djnz 50h,ji ret
dly: kr:
mov 53H,#50H djnz 53h,kr ret
LEVEL
FUTURE ENHANCEMENT:
This paper has satisfactorily fulfilled the basic things such as prevention of accidents inside the gate and the unnecessity of a gatekeeper. But still the power supply for the motor operation and signal lights. It can be avoided and a battery charged by means of a solar cell. It can be used directly during the daytime and by charging the battery during night. Hence this arrangement can be used in remote areas where the power supply can't be expected. The obstacle detection part can be implemented using Fuzzy logic. As it thinks in different angles or aspects, the system works still more efficiently.
THE LAST WORD..
The idea of automating the process of railway gate operation in level crossings has been undertaken. As the system is completely automated, it avoids manual errors and thus provides ultimate safety to road users. By this mechanism, presence of a gatekeeper is not necessary and automatic operation of the gate through the motor action is achieved. Microcontroller 89C5l performs the complete operation i.e., sensing ,gate closing and opening operation is done by software coding written for the controller. The mechanism works on a simple principle and there is not much of complexity needed in the circuit.
[attachment=5405]

Development of Advanced Automated Railway Gate Control System

Abstract
:

Railways, being the cheapest mode of transportation are preferred over all the other means. When we go through the daily newspapers, we come across many railway accidents occurring at unmanned railway crossings. This is mainly due to the carelessness in manual operations or lack of workers.
In order to overcome these dangerous problems we, in this project came up with a solution for the same. Using simple electronic components we have tried to automate the control of railway gates. This project is a standalone automatic unmanned railway gate control system using AT89C51 microcontroller. The main aim of this project is atomizing the unmanned railway gate. i.e., the gate is closed automatically when ever the train comes and gate is opened after the train leaves the railway road crossing. The arrival of train in either direction can be identified using this project. Use of embedded technology makes this closed loop feedback control system efficient and reliable. Micro controller (AT89C51) allows dynamic and faster control. Liquid crystal display (LCD) makes the system user-friendly. AT89C51 micro controller is the heart of the circuit as it controls all the functions.

The system comprises of two IR Transmitter-Receiver pairs. One IR TX Rx pair is located at one end of the railway gate. The second pair is located at another end of the gate. In each pair the TX and Rx are arranged face to face across the railway track. i.e., TX is placed at one side of the track and the receiver RX at another side of track. The Rx should continuously get the signal from the transmitter.

Whenever any train is arriving on the track, the IR signal gets disturbed due to the interruption of the train. Thus the micro controller identifies the arriving of train. Before closing the gate the microcontroller gives siren to alert the people who are on the track. After 30 sec, the controller will close the gate by rotating the stepper motor. For the opening of the gate, the micro controller should know whether the train has left the crossing or not. The second IR pair is used for this purpose.

The second IR pair identifies the train since the IR signal gets disturbed when it comes in between TX and RX. The microcontroller will wait till the last compartment and when it left the IR pair, the receiver again gets IR signal. Hence the microcontroller knows that the train left the gate. Till this time the gate is closed. Now, after the train left the crossing, the microcontroller will open the gate by rotating the stepper motor. LCD displays the status of the train.

This project uses regulated 5V, 500mA power supply. 7805 three terminal voltage regulator is used for voltage regulation. Bridge type full wave rectifier is used to rectify the ac out put of secondary of 230/12V step down transformer.
[attachment=3580]


Embedded System

Embedded system is combination of hardware & software for specific application.
Embedded system means the processor is embedded into that application.
An embedded product uses a microprocessor or microcontroller to do one task only.
In an embedded system, there is only one application software that is typically burned into ROM.


How an embedded system works..

It works with the help of both the hardware and software requirements
Hardware: processor, memories, peripherals, power supply etc..,
Software: assembly language program (ALP) like c, c++ ..,


Types of embedded systems

Simple embedded system
ex: small electrical equipments completes its work with in the approximated time
Complex embedded system
ex: used in military missiles for target based system


Examples of embedded systems
To get full information or details of MICROCONTROLLER BASED AUTOMATIC RAILWAY GATE CONTROL full report please have a look on the pages

http://seminarsprojects.net/Thread-micro...ull-report

if you again feel trouble on MICROCONTROLLER BASED AUTOMATIC RAILWAY GATE CONTROL full report please reply in that page and ask specific fields in MICROCONTROLLER BASED AUTOMATIC RAILWAY GATE CONTROL full report
hii..i saw ur project on " AUTOMATIC RAILWAY GATE CONTROL SYSTEM " ..ITS VRY NICE.. n i want to do that project now ..actually i did up to middle of the project which was downloaded frm net only ..but i didn't wheather its works or not ..thtsy i want u to gve me a small info abt this ..can u plzz tell me wch software is used to dump???? am using nw KEIL software..
you can refer these page details of " MICROCONTROLLER BASED AUTOMATIC RAILWAY GATE CONTROL"link bellow
http://seminarsprojects.net/Thread-micro...ull-report

http://seminarsprojects.net/Thread-autom...ull-report
Pages: 1 2 3 4