Thread Rating:
  • 0 Vote(s) - 0 Average
  • 1
  • 2
  • 3
  • 4
  • 5
sine cos generation using cordic in verilog
#1

hi! i am student.plz anyone send me the verilog code for sin/cosin wave generator and fpga hardwave circuit diagram,plzz it is my project and i have to submit it in fewer days.. so plz send on my id [email protected]
hi! i am student.plz anyone send me the verilog code for sin/cosin wave generator and fpga hardwave circuit diagram,plzz it is my project and i have to submit it in fewer days.. so plz send on my id [email protected]
Reply

#2

Hi, I am a student working over a project using cordic algorithm. I need verilog codes to calculate sine,cos,atan etc using cordic methods..I request to help me out with the code as soon as possible..
Reply



Forum Jump:


Users browsing this thread:
1 Guest(s)

Powered By MyBB, © 2002-2024 iAndrew & Melroy van den Berg.