Thread Rating:
  • 0 Vote(s) - 0 Average
  • 1
  • 2
  • 3
  • 4
  • 5
VHDL IMPLEMENTATION OF UART
#1

Hi,

I am presently designing a UART for FPGA(SPARTAN II) in VHDL using XILINX 10.1 ISE design suite.I dont have codes in VHDL for transmitter and receiver.
Kindly send me the same if u have asap.

Thanks with regards ,
Shivani
Reply

#2
I need to do a UART FSM Controller. How i can see your code? You can help me? [email protected]

Tanks
Reply



Forum Jump:


Users browsing this thread:
1 Guest(s)

Powered By MyBB, © 2002-2024 iAndrew & Melroy van den Berg.